Unifying HW Analysis and SoC Design Flows by Bridging Two Key Standards: UML and IP-XACT

نویسندگان

  • Sebastien Revol
  • Safouan Taha
  • François Terrier
  • Alain Clouard
  • Sébastien Gérard
  • Ansgar Radermacher
  • Jean-Luc Dekeyser
چکیده

In order to save time and improve efficiency, all SoC development processes are separated into many parallel flows. These flows should keep a strong communication to avoid redundancy and incoherency. We distinguish two main trends. One aims at designing and implementing hardware when the other focuses on its functional description that may serve to software architecturing, analysis and allocation. Even if both are newly using UML, no connections have been made to synchronize them. The goal of this work is then to bridge permanently the gap between those two hardware design trends by unifying their corresponding modelbased standards: UML and IP-XACT.

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Generation of Abstract IP/XACT Platform Descriptions from UML/MARTE for System-Level Performance Estimation Towards a MARTE to IP/XACT Generation Framework of HW platform descriptions for a DSE Multi- level Performance Estimation Framework

UML/MARTE is enabling the development of methodologies for the specification of a whole real-time system, and of holistic MDA methodologies where the UML/MARTE description is taken as the source for different design activities, such as system-level performance estimation and implementation refinement. A crucial issue to make these methodologies working and efficient is the development of tools,...

متن کامل

Modeling SPIRIT IP-XACT with UML MARTE

Large System-on-Chips (SOC) are now built by assembly of existing components, modeled at different representation levels(TLM, RTL . . . ). The IP-XACT standard was recently developed to help normalize interfaces of IP components, and ease their composition. Currently it does not fully face timing representation issues. The equally recent MARTE UML profile focuses explicitly on the rich expressi...

متن کامل

An Eclipse-based Framework for the IP-XACT- enabled Assembly of Mixed-Level IPs

This article introduces basic functions of our IP-XACT based framework for automated design integration with mixed-level IPs and the key concepts behind the IP auto-assembly. The framework was generated from the SPIRIT standard XML data schema. Two examples, one with the CoreConnect SoC architecture and another one with an in-house library for FlexRay automotive bus simulation, are presented to...

متن کامل

Semantics analyzing expression editors in IP-XACT design tool Kactus2

This paper presents parameter and expression editors of the design tool Kactus2. It is aimed at digital System-on-Chip (SoC) designs based on IEEE 1685 IP-XACT XML metadata standard. SoC’s are constructed by assembling parametrized components using generators for hardware language code and design configuration. The key challenges are the management of dependencies between thousands of parameter...

متن کامل

A high-level methodology for automatically generating dynamic partially reconfigurable systems using IP-XACT and the UML MARTE profile

Dynamic Partial Reconfiguration (DPR) has been introduced in recent years as a method to increase the flexibility of FPGA designs. However, using DPR for building complex systems remains a daunting task. Recently, approaches based on Model-Driven Engineering (MDE) and UML MARTE standard have emerged which aim to simplify the design of complex SoCs, and in some cases, DPR systems. Nevertheless, ...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 2008